C言語テストプログラムによるハードウェア検証技法
C言語テストプログラムによるハードウェア検証技法が、
一番興味を持って読みました。

SystemVerilogでは、
DPI-Cというインタフェースがあるそうです。

Direct Programming Interfaceの略で、
IEEE18002005で、
C言語のDPIをDPI-Cとして定義しているとのこと。


HDLの検証が課題だったので、
ひとつのブレークスルー(転換点)になるかもしれません。
Design Wave MAGAZINE (デザイン ウェーブ マガジン) 2008年 11月号 [雑誌]

その他の感想

この商品は、PIAA(ピア) MB48 H6M 12V30/30W 4700K MB48です。
ほかの麻雀戦術書とは一線を画す
これは最高ですわ
ずっと知りたかった仏教の核心の解説書
コストパフォーマンス、見栄えも
ヴィクターの人柄があらわれた本です
世界で1番素敵な「G線上のアリア」
エーワンのラベルにはないものを作るために
企業価値の算出がわかりやすい
高さと横幅がもう少し欲しかった
付属のCD-ROMだけで十分!(テキスト不要!)
割安で良い
いいけど高いよ
星1
取り敢えず…
姪っ子へプレゼント。
小鳥好きにはたまらない
鼻が通る
やっちゃったって感じ
差がひどい
右手用?
パフォーマンスは良いですよ。
最適なガイド
普通の人の普通の生活
1回で削れる氷は少なめ
中村天風こそ今の日本に必要な人物です!
ファスナーが壊れました・・・
初!わたし時手帖です
普通に良いと思います。
ちょっと高いですね
戻る